Робота в середовищі quartus - ii

Метою роботи є вивчення способів створення цифрових пристроїв (основних логічних елементів) на основі ПЛІС в середовищі Quartus II.

Короткі відомості про систему автоматизованого проектування цифрових пристроїв Quartus II

Вивчити принципову схему лабораторного стенду LESO2 і визначити яка частина досліджуваної схеми реалізована апаратно, а яка буде реалізована за допомогою середовища автоматизованого проектування Quartus II.

Сучасні цифрові пристрої зазвичай виготовляються у вигляді однієї інтегральної мікросхеми. Це може бути замовлена ​​мікросхема (ASIC) або програмована інтегральна мікросхема (ПЛІС). Замовні мікросхеми характеризуються високою вартістю етапу розробки, тому для дрібносерійного і среднесерійного виробництва краще підходять ПЛІС мікросхеми.

Програмовані логічні інтегральні схеми (ПЛІС) є одними з найбільш перспективних елементів цифрової схемотехніки. ПЛІС випускається за двома основними технологіями:

ПЛІС являє собою кристал, що складається з двох великих частин. Одна частина велику кількість простих логічних елементів. Спочатку ці елементи не з'єднані між собою. З'єднання елементів (перетворення розрізнених елементів в електричну схему) здійснюється за допомогою електронних ключів, розташованих в цьому ж кристалі. Електронні ключі управляються спеціальної пам'яттю, в осередки якої заноситься код конфігурації цифровий схеми. Таким чином, записавши в пам'ять ПЛІС певні коди, можна зібрати цифровий пристрій будь-якого ступеня складності (це залежить від кількості елементів на кристалі і параметрів ПЛІС). На відміну від мікропроцесорів, в ПЛІС можна організувати алгоритми цифрової обробки на апаратному (схемном) рівні. При цьому швидкодія цифрової обробки різко зростає. Перевагами технології проектування пристроїв на основі ПЛІС є:

  • мінімальний час розробки схеми (потрібно лише занести в пам'ять ПЛІС конфігураційний код);
  • на відміну від звичайних елементів цифрової схемотехніки тут відпадає необхідність у розробці і виготовленні складних друкованих плат;
  • швидке перетворення однієї конфігурації цифровий схеми в іншу (заміна коду конфігурації схеми в пам'яті);
  • для створення пристроїв на основі ПЛІС не потрібно складне технологічне виробництво. ПЛІС конфігурується за допомогою персонального комп'ютера на столі розробника. Тому іноді цю технологію називають «фабрикою на столі».

Створення проекту в середовищі Quartus II

Робота в середовищі Quartus II починається з дій, які називають створенням проекту. Перш за все, необхідно створити папку для зберігання файлів проекту. Ім'я папки бажано вводити латинськими буквами. Потім слід запустити програму Quartus II. Відкривши пакет, Quartus II вибираємо з меню "File" пункт New Project Wizard - майстер створення нових проектів. У вікні, натискаємо кнопку Next і потрапляємо у вікно для завдання поточної директорії проекту. Заповнюємо три рядки як, показано на малюнку 1. У даному випадку поточний проект буде названий LAB1. Проект буде створений в папці LAB на робочому столі. Натискаємо кнопку Finish і підтверджуємо створення проекту.

Робота в середовищі quartus - ii

Малюнок 1. Меню завдання поточної директорії проекту

Робота в середовищі quartus - ii

Малюнок 2. Вибір типу файлу проекту

Після створення файлу проекту стає активною панель інструментів, розташована зліва від робочої області вікна. Для введення елемента схеми слід «клацнути» по Symbol Tool (Малюнок 3). В результаті відкриється вікно з бібліотеками елементів. Слід звернути увагу, що графічні зображення елементів схеми в системі Quartus II відрізняються від позначень, прийнятих в Росії. У таблиці 1 наведені російські зображення і відповідні їм позначення елементів в системі Quartus II.

Наприклад, для введення логічного елемента «І» слід вибрати бібліотеку primitives / logic. Після розміщення компонентів на схемі слід розмістити вхідні (input) і вихідні (output) контакти, які знаходяться в папці primitives / pin. З'єднання компонентів проводиться таким чином: перемістити курсор в одну з двох точок схеми, які потрібно з'єднати, натиснути ліву кнопку миші і, не відпускаючи її, перемішати курсор до другої з з'єднуються точок. Далі слід перейменувати вхідні і вихідні контакти. Для цього двічі клацаємо лівою кнопкою миші на ім'я контакту і редагуємо його. В результаті отримаємо схему, зображену на малюнку 4.

Робота в середовищі quartus - ii

Малюнок 4 - Схема після перейменування входів і виходів

Таблиця 1 - Відповідність російських позначень елементів і позначень в Quartus II.

2.2.3 Компіляція проекту

Перед здійсненням компіляції зробимо вибір типу кристала. Для цього вибираємо пункт Device ... з меню Assignments. У вікні, в рядку Family виберемо сімейство Cyclon. а у вікні Available Devices виберемо конкретний пристрій EP1C3T144C8. Натисканням кнопки OK підтвердимо вибір кристала. Для запуску процесу компіляції виберемо пункт Start Compilation з меню Processing. Підтвердимо збереження поточного файлу і очікуємо закінчення процесу компіляції. Після закінчення компіляції з'являється вікно з повідомленням про результати компіляції і кількості помилок і попереджень.

2.2.4 Підключення схеми до зовнішніх висновків ПЛІС

Після того, як проведена компіляція проекту слід підключити вхідні і вихідні контакти до зовнішніх висновків ПЛІС. Для цього слід вибрати Assignments / Pins. В результаті з'явиться вікно, наведене на малюнку 5.

Робота в середовищі quartus - ii

Малюнок 5 - Вікно підключення схеми до зовнішніх висновків

У колонці Node Name розташовуються імена входів і виходів схеми. Для їх підключення до висновків ПЛІС слід двічі «клацнути» по відповідному елементу в колонці Location і вибрати висновок, до якого потрібно підключити вхід (вихід) електричної схеми. Можна також просто перемістити відповідне ім'я (наприклад, X1) на висновок, який ви бачите на малюнку ПЛІС. Після підключення всіх висновків слід ще раз скомпілювати проект. В результаті необхідна схема набуде вигляду, зображений на малюнку 6.


Малюнок 6 - Схема після підключення зовнішніх висновків

2.2.5 Завантаження файлу конфігурації в ПЛІС

В результаті компіляції проекту в середовищі QUARTUS формується файл конфігурації ПЛІС з розширенням * .sof. Для запису файлу конфігурації в пам'ять ПЛІС через порт USB персонального комп'ютера потрібно перетворити цей файл у формат з розширенням * .rbf. Для цього можна скористатися командою з меню FileConvert Programming Files. У вікні (малюнок 7.) в розділі Output programming files слід вибрати тип файлу Raw Binary File (* .rbf) і задати шлях до розміщення вихідного файлу.

Робота в середовищі quartus - ii

Малюнок 7 - Вікно Convert Programming Files

У розділі Input files to convert слід натиснути кнопку Add File і в меню вибрати шлях до вихідного файлу з розширенням * .sof. Далі необхідно натиснути кнопку Generate. Mожно налаштувати проект таким чином, щоб файл * .rbf формувався автоматично в процесі компіляції. Для цього в закладці Settings в меню Assignments потрібно натиснути кнопку Devise pin Options. Далі в розділі Programming file необхідно встановити галочку Raw Binary File (* .rbf). Завантаження файлу * .rbf в ПЛІС проводиться за допомогою окремої програми - завантажувач (l2flash.exe). Після запуску цієї програми з'являється вікно, зображене на малюнку 8.

Робота в середовищі quartus - ii

Малюнок 8 - Вікно завантажувача l2flash.exe

У цьому вікні слід вказати шлях до файлу конфігурації, і натиснути кнопку програмувати. В результаті відбудеться програмування ПЛІС. Можна приступати до дослідження схеми.

3 Опис стенду

Всі лабораторні роботи виконуються на стенді, основою якого є ПЛІС сімейства Cyclon EP1C3T144C8. Принципова схема стенда наведена в додатку. Стенд підключається до персонального комп'ютера через порт USB. До висновків ПЛІС (D5) підключені 8 світлодіодів (VD1-VD8), які можуть бути використані як індикатори логічних рівнів в різних точках схеми. Два 7-сегментних індикатора (D6) призначені для індикації цифр від 0 до 9. На елементах D4.1 і D4.2 зібраний генератор тактових імпульсів з частотою 6 МГц, стабілізований кварцовим резонатором ZQ2. Елемент S1 є одиночну кнопку, яка формує два логічних рівня (0 і 1). 8 перемикачів SB1-SB8 так само призначені для формування логічних рівнів на входах ПЛІС. Світлодіоди VD9-VD16 використовуються для сигналізації стану перемикачів. Мікросхеми D2 і D3 - стабілізатори напруги харчування ПЛІС. Висновки конфігурації ПЛІС підключені до гнізда (X1) порту USB персонального комп'ютера за допомогою мікросхеми D1.

4 Завдання до роботи

4.1 За допомогою системи Quartus II зібрати схему для дослідження логічного елемента «І» (Малюнок 9).


Малюнок 9 - Схема дослідження елемента «І»

Для складання досліджуваної схеми необхідно подивитися на схему підключення відповідних перемикачів і світлодіодів до ПЛІС. Зі схеми стенда видно, що перемикачі SB7 і SB8 підключені до висновків 55 і 56, а світлодіод VD1 до висновку 128 ПЛІС. Таким чином, схема дослідження, створена за допомогою системи Quartus II буде виглядати так, як показано на малюнку 6. Після завантаження файлу конфігурації в ПЛІС провести дослідження логічного елемента. Для цього за допомогою перемикачів SB7 і SB8 послідовно встановити можливі комбінації логічних рівнів на входах елемента «І». При цьому кожен раз контролювати логічний рівень на виході елемента "І". Якщо світлодіод VD1 світиться - це логічна одиниця, інакше - логічний нуль. За результатами дослідження заповнити таблицю 4.1.

Таблиця 4.1 - Таблиця істинності логічного елемента

Схожі статті